alert icon

Internet Explorer 8 or 9 is not supported by this website. Please use a more up to date browser.

Hide Message hide icon

Q&A: Semiconductor Manufacturing Past, Present, and Future

Carl White, who has spent nearly 40 years working at various points in the semiconductor industry supply chain, offers a firsthand perspective on the past, present, and future of semiconductor industry advancement.

Semiconductor Manufacturing Past, Present, and Future: A Q&A with Industry Consultant Carl White

Moore’s Law, a concept first put forth by Intel co-founder Gordon E. Moore in 1965, predicted that the number of transistors on an integrated circuit (or microchip) will need to double every two years while the cost of computing is reduced by as much as half, leading to exponential growth in computing power. The semiconductor industry has worked hard to keep up with this paradigm, but constantly providing more processing power in less space isn’t easy, especially when competitors are striving towards the same goal and consumer demand for advancing technology is constant.

Learn more about how Swagelok works with semiconductor manufacturers

Thanks in large part to Moore’s Law, “I feel the need—the need for speed!” can feel more like a description of daily life in the semiconductor industry than a throwback quote from Top Gun. This was one of many key takeaways from our recent conversation with industry veteran Carl White, principal engineering consultant at C.L. White Engineering Services, LLC. After spending nearly 40 years working in businesses throughout the semiconductor industry supply chain, Carl offered great perspective on the unrelenting need for speed—both in development and processing power. Read on to find out what he had to say about what has been required to innovate fast enough to keep up with Moore’s Law in the past, what challenges the semiconductor industry is facing presently, and what we can expect to see in the near future.

Swagelok Reference Point (SRP): Thank you for joining us, Carl. Can you start by telling us a bit about your background?

Carl White: I am a native Arizonan, and I studied industrial technology management and mechanical engineering at Arizona State before starting my career at ASM, the semiconductor processing tool OEM, in 1982. From there I went on to work at Spectrum CVD, which was owned by Motorola at the time; Materials Research Corporation, which eventually became part of Sony; Tokyo Electron, also known as TEL; and eventually Applied Materials. I have spent 28 of my 38 years in the semiconductor industry working within the OEM space and the other 10 years for a chip manufacturer—Motorola Semiconductor Products Group. I retired from ASM last year after spending the last 15 years working on ALD (atomic layer deposition) technology. Now I consult with companies in the industry.

SRP: It sounds like you have an interesting perspective, having worked extensively on the tool OEM and chip fabricator sides of the industry. What drove you to spend your career doing this?

CW: It is a very fast-paced industry. Technology is constantly changing and that required creativity and continuous learning for those of us working in the space. There was no chance to get bored! It was also exciting to see how the designs I worked on drove change, since semiconductor technology is important in just about every other industry.

SRP: What meta-trends have you seen drive the evolution of the semiconductor industry?

CW: Early on, it was the space program. Later, it was consumer technology. We went from using slide rules to handheld calculators to personal computers to smartphones, and that was possible because of semiconductor technology evolution. Now we are seeing the emergence of A.I. and autonomous vehicles driving change. The one constant has been the need to produce products and convey information faster. New semiconductor technology is constantly required to meet the demand for more computing power.

There is also the drive that comes from competition for leadership in semiconductor innovation. It is not just competition between companies, but something that takes place on a global scale. Over time, different countries have led the development of semiconductor technology, and those dynamic changes sometimes lead to greater collaboration on the industry level. For example, 14 U.S.-based semiconductor companies joined together with the U.S. government in 1987 to form SEMATECH, the chip manufacturing consortium, in an effort to improve the quality of chips they were producing to better compete in the global marketplace. That accelerated advancement in the semiconductor industry in the U.S. At the time, lots of companies were trying to do everything… designing, manufacturing, and selling chip technology. They learned to specialize, leading to the creation of foundries that make chips for other companies, helping streamline industry advancement, as well.

SRP: Can you explain the relationship between chip density and the evolution of semiconductor technology and the electronics it powered? How has that affected the equipment and components needed to produce chips?

CW: Miniaturization is a constant need in order to keep up with Moore’s Law. To get more transistors on chips, you need to make them smaller and smaller. You also have to adjust equipment to align with manufacturing process advancements. A major inflection point was in the late 1990s, early 2000s when the industry moved from 200 mm to 300 mm silicon wafers as the foundation for chips—this required major manufacturing and tool changes. The development of high-K (dielectric constant) gates for 45 nm (nanometer) transistors that allowed less electron leakage was another big step in the industry’s pursuit of miniaturization. I was fortunate enough to work on developing the equipment that Intel used to produce these, so the change was exciting to witness. Now, for perspective, companies are working on 5 nm chip production.

In general, we have seen companies trying to move to smaller process nodes—meaning the manufacturing of smaller semiconductor technology feature sizes in an effort to create smaller, faster, more power-efficient transistors—as quickly as every 18 months. This exceeds the prediction of Moore’s Law (moving to smaller process nodes every two years) because that’s what competitors are doing.

Semiconductor fabricators tell the tool OEMs what they want to achieve as far as chip performance, and what production processes that will likely require. The OEMs work on making production equipment that can enable that sort of performance, and in doing so, they work with companies like Swagelok to find existing components or to collaborate on engineering new components to enable their tools. This collaboration is critical if semiconductor companies are going to keep up with the speed of innovation, as it helps OEMs receive the components they need today and it helps the component manufacturers anticipate the future needs of the industry.

SRP: Does market demand for specific electronics applications drive semiconductor innovation, or does chip technology advancement usually pre-empt market demand?

CW: It can go either way. Sometimes, the general pressure to constantly evolve semiconductor technology can lead to breakthroughs before the market knows what to do with the technology. For example, in the 1990s, computing capabilities were rapidly advancing, but the software knowledge and skills required to utilize the full power of chip technology were lacking, so applications lagged behind processing capabilities. But in other cases, there can be pressure to empower existing applications to do more—we see that more now with the need to enable more data processing and A.I. applications.

Fundamentally, we have seen three eras of demand. From the 1960s to the 1980s, it was all about enabling computers and calculation equipment. We had thousands of transistors on chips then. Starting in the 1980s, we saw emphasis shift to enabling mobile technology like laptops and cell phones. We had millions of transistors on a chip by this point. In the last decade, data transfer and storage is driving demand as we create technology that is more connected (with the rise of the Internet of Things and smart devices powering round-the-clock social interactions) and data-centric (with trends like Big Data and machine learning creating demand).

SRP: How has ongoing demand for smaller, but more powerful chips affected the performance requirements of fluid system components used in semiconductor manufacturing?

CW: The change in semiconductor chip geometry over time has driven the need for different fluid system products used in the chip manufacturing process. Especially as transistors get have become smaller, it is crucial to avoid contamination in processing, as this can affect yields and chip reliability. Uncontrolled processes and component contamination must be avoided. As a result, the industry moved from bellows valves (higher cycle life) to diaphragm valves (historically cleaner) that have less “dead space” and volume of contained gas, as well as less moving parts.

Now, with the release of the Swagelok® ALD20 valve recently, we are seeing the benefit of the high flow capacity that comes from a bellows valve that still offers the ultrahigh purity (UHP) performance needed in modern semiconductor manufacturing. This was made possible in part because manufacturing techniques have improved over time, and because we also have access to enhanced materials—high-quality VIM-VAR steel and corrosion-resistant alloys, for example. There are also better finishing techniques being employed, like electropolishing and passivation, as well as better testing before product launches than there used to be. In the past, I’ve seen some companies race to the finish to launch a technology first, but they didn’t qualify their components well enough, and that caused us problems. It is important to know that products will work out of the box as promised in the semiconductor space; components you can trust to be consistent and deliver repeatable performance are critical.

SRP: Similar to a previous question, has it always been valve technology changing to enable new chip production processes, or has semiconductor manufacturing progress driven fluid system innovations?

CW: Semiconductor manufacturing process changes have definitely played a role in defining what we need out of UHP valves and other fluid system components. When you manufacture microchips, you are typically coating a crystalline wafer—silicon, for instance—by a sequence of precise doses with a precursor gas into a deposition chamber to uniformly coat the wafer before solidifying. We are increasingly taking liquid and solid precursor chemicals, subliming them using high temperatures and carefully controlled processes, then using UHP valves to dose them onto the wafers. These chemicals can often be unstable and have aggressive, corrosive characteristics, making them challenging to work with effectively.

We rely on atomic layer deposition (ALD) and atomic layer etching (ALE) processes often because chemical vapor deposition (CVD) and the precursors we used in that process can’t be controlled effectively enough to facilitate chip manufacturing at the small transistor size we see today. It’s these changes in processes and chemistry—for example, the industry moved to copper interconnects from aluminum in the 1990s because of its higher conductivity—that necessitate component changes.

Early on, tool OEMs realized that disappointing chip yields were often caused by process issues rather than faulty equipment. Moisture, reactive chemicals being exposed to the atmosphere, particles forming residue in valves and preventing them from sealing—these were all challenges the industry encountered. We have learned as we have evolved to control for process challenges, often through advanced fluid system components and system designs. That has positively affected our results, but it has also affected chip manufacturing processes and the performance requirements of enabling components.

SRP: If that’s a historical picture of semiconductor manufacturing evolution, what challenges face the industry today, and how is that affecting fluid system component requirements?

CW: To reach the next stage of chip manufacturing, we need reliable product control, repeatability, and valve manufacturing consistency. Semiconductor tools require numerous UHP valves, and it is hard to have perfectly uniform performance from valve to valve, but we need that manufacturing consistency. It’s not just about a high-quality product, but that same quality from valve to valve.

Also, temperature change matters. We need consistency of performance at higher temperatures and flow rates. There’s more emphasis on 3D NAND chip manufacturing now, meanings more materials being layered into deeper crevices on chips as transistors are stacked on top of each other, so you need to get more precursor media dosed onto the wafer—maybe 200 times as much gas—to effectively coat these locations. There’s ever tightening tolerances, and that means less allowance for variability.

“To reach the next stage of chip manufacturing, we need reliable product control, repeatability, and valve manufacturing consistency.”


SRP:
Besides precise dosing, temperature stability, and flow capacity, what else does the industry need out of UHP valves to continue keeping up with Moore’s Law?

CW: We have to stay focused on cleanliness and corrosion resistance, too. Materials science is important here. For example, the ALD20 valve uses Alloy 22 (Hastelloy® C22) in the bellows because that’s a material that can stand up to highly corrosive chemistries. But as great as it is, even this material is not ideal in every process. A special coating might be required to handle different chemistries as geometries get smaller and precursor chemicals get more aggressive. Developing these coatings can be difficult and expensive, but we have less and less tolerance for corrosion in our processes.

Learn about Swagelok materials science training

This is why it is key that fluid system solutions providers work closely with both OEM and semiconductor manufacturers as they develop new products. Collaboration was important when Swagelok introduced the first ALD valves decades ago, and it remains more important than ever. Sometimes this means working with tool OEMs, but since the demands on their tools are driven by chip fabricators, sometimes you will need to work with the fabs directly, too. It’s about problem solving together and figuring out what makes sense based on the involved companies’ development cycles. But it’s this collaboration that will enable the technology of tomorrow.

SRP: What has collaboration with suppliers looked like during your career? How have you experienced it personally?

CW: Early in my career, when I was at ASM, I collaborated with Swagelok on the development of the Swagelok® DH series UHP diaphragm valve. We needed a valve that would perform in a vacuum at 220º C and was smaller than what was available on the market at the time so we could fit more valves in a small space to get better performance from our ALD tools. I worked with Swagelok Southwest and Swagelok’s corporate engineering department to test out options, and we eventually arrived at a great solution. The result was a diaphragm valve with a dual piston design, a new lubricant to help avoid contamination in a vacuum chamber, and extreme temperature resistance that would last for more than 10 million cycles.

It was also helpful that the Swagelok team was transparent and willing to share testing protocols and data throughout the process, which is not always the case with other manufacturers. Also, as is always the case with collaborative endeavors, the people make a difference. You want to work with people that make it easy for you to enjoy your work, and the team I worked with on this project was top notch. In my semiconductor industry career, I have always looked for business contacts that want a win-win situation when dealing their customers, not just a “we win.” Both sorts of companies are out there, so I have always chosen carefully.

SRP: What is next for the semiconductor industry? What challenges will need to be overcome, and what can we expect in the near future?

CW: One challenge for the industry will be keeping up with scaling needs. Now that we are at 7 nm or 5 nm process nodes, where do we go from here? Are the materials and manufacturing capabilities existent to keep miniaturizing technology further? 3D NAND stacking is one solution—we are seeing more semiconductors stacked on top of each other, allowing three times the number of transistors to be packed into one area compared to what has traditionally been done. New technology is being worked on to facilitate this, like selective deposition-enabling technology allowing you to only deposit where you want to on a wafer, rather than coating the entire surface.

Materials are changing, too. The industry is looking at silicon carbide as a basis for wafers rather than silicon. Silicon is easy to find and inexpensive, so it was widely adopted, but we could see materials like germanium come back into use as different materials are needed to provide power to transistors at tiny sizes. Other fast, promising materials have been investigated over time, but the manufacturing processes or chip requirements may not have made these expensive specialty materials economically viable. Now, we might need them.

It isn’t just wafer materials that will need to change, but our processes: what we deposit, how we etch, and so on. Newer methods like extreme ultraviolet lithography (EUV) are being employed, but once we start working towards transistors smaller than 5–3 nm, that may not work much longer. Cost becomes exponentially higher the smaller you go, so we may see more specialty providers stick to trying to keep up with Moore's Law rather than everyone because it is going to get too expensive to do so.

SRP: Thanks for the perspective, Carl. Do you have any final words of wisdom for semiconductor professionals filling the sort of roles you’ve held in the past?

CW: If one thing is certain, it’s that we’ll keep seeing advancement, even if we can’t always see how it will take place yet. The one thing you can count on is the need for strong relationships and collaboration to get where you want to go.

When you have a specialized need, it isn’t always as simple as buying a product off the shelf to address it; you have to work with partners to develop a next-generation solution sometimes. When you do, look for companies that have the engineering capabilities and the collaborative mindset to help get you where you want to go. You need collaborators who will listen to your needs, never promise anything they can’t do, and who won’t sacrifice quality just to make you happy. It’s a risk you run with many companies when moving fast, so learn who you can trust. Building relationships is the best thing you can do in order to build results.

“…look for companies that have the engineering capabilities and the collaborative mindset to help get you where you want to go. You need collaborators who will listen to your needs…”


SRP:
Thank you, Carl! We appreciate you taking the time to share your knowledge with us today.

CW: My pleasure. I’m happy to help.

Learn more about how Swagelok works with semiconductor manufacturers

Related Articles

Assembly worker fabricating semiconductors and components in a Swagelok cleanroom

Innovation for the Next Generation of Semiconductor Manufacturing

The next generation of advanced semiconductor manufacturing presents a host of unique considerations. Read how manufacturing innovation can be achieved through greater capacity utilization, component reliability, and process control.

cleanroom assembly of an ALD20 UHP valve for semiconductor industry use

One New Valve. Three Reasons It Could Change Semiconductor Manufacturing

Find out how the latest innovation in atomic layer deposition (ALD) valve technology is changing the game for high-tech semiconductor manufacturers.

Semiconductor cleanroom

Solving Semiconductor Fabrication Challenges with Insulated Hoses

The right insulated hose solution can help solve some common challenges associated with the highly precise semiconductor fabrication process.